VHDL: Засвар хоорондын ялгаа

Content deleted Content added
б r2.7.1) (робот Нэмж байна: el:VHDL
No edit summary
Мөр 1:
'''VHDL''' буюу '''VHSIC Hardware Description Language''' нь тоон хэлхээн дизайныг [[FPGA]] болон [[ASIC|ASIC-д]] оруулах техник дүрслэлийн хэл юм.
 
[[Top-down]] буюу дээрээс доош чиглэсэн дизайны арга зүйд системийг абстракт түвшинд дүрслэхдээ техник дүрслэлийн хэл, түүний симуляци, синтез гүйцэтгэгч программ хангамжуудыг өргөн хэрэглэдэг. VHDL ашиглаж байгаа үедээ хамгийн гол анхаарах зүйл бол тоон логикийн хэлхээ үүсгэж байгаа гэдгээ мартаж болохгүй. Өөрөөр хэлбэл дээд мөрний код биелүүлэгдсэний дараа доод мөрний код биелүүлэгдэхгүй гэдгийг уг 2 мөр зэрэг гүйцэтгэгдэх боломжтой гэдгийг санах нь чухал юм.
 
VHDL хэл дээр тоон хэлхээний дизайн, түүний дүрслэлийг хийхэд үндсэн хэлбэрээр гүйцэтгэж болно. Үүнд: